Electronic Lab
Design and Simulation tools for hardware engineers.
- alliance - VLSI EDA System
- archimedes - 2D Quantum Monte Carlo simulator for semiconductor devices
- avarice - Program for interfacing the Atmel JTAG ICE to GDB
- avr-binutils - Cross Compiling GNU binutils targeted at avr
- avr-gcc - Cross Compiling GNU GCC targeted at avr
- avr-gcc-c++ - Cross Compiling GNU GCC targeted at avr
- avr-gdb - GDB for (remote) debugging avr binaries
- avra - Atmel AVR assembler
- cgnslib - Computational Fluid Dynamics General Notation System
- covered - Verilog code coverage analyzer
- dfu-programmer - A Device Firmware Update based USB programmer for Atmel chips
- dgc - Digital Gate Compiler
- dia-CMOS - Dia CMOS Shapes
- dia-Digital - Dia Digital IC logic shapes
- dia-electric2 - Dia Digital IC logic shapes
- dia-electronic - Dia Digital IC logic shapes
- dinotrace - Waveform viewer for electronics
- drawtiming - A command line tool for generating timing diagrams
- eclipse-eclox - Eclipse-based doxygen plugin
- eclipse-veditor - Eclipse-based Verilog/VHDL plugin
- electronics-menu - Electronics Menu for the Desktop
- emacs-dinotrace - Elisp source files for dinotrace under GNU Emacs
- emacs-irsim-mode - Irsim mode for emacs
- emacs-sdcc - Emacs extensions for SDCC
- emacs-spice-mode - SPICE Mode for GNU Emacs
- emacs-systemc-mode - Elisp source files for systemc-mode under GNU Emacs
- emacs-verilog-mode - Verilog mode for Emacs
- emacs-vregs-mode - Elisp source files for systemc-vregs under GNU Emacs
- eqntott - Generates truth tables from Boolean equations
- espresso-ab - A boolean minimization tool
- fped - A footprint editor used by openmoko developers
- freehdl - GPLed free VHDL
- gds2pov - GDS2 layout file to POV-Ray conversion
- geda-gaf - Design Automation toolkit for electronic design
- gerbv - Gerber file viewer from the gEDA toolkit
- ghdl - A VHDL simulator, using the GCC technology
- gnucap - The Gnu Circuit Analysis Package
- gnusim8085 - Graphical simulator for 8085 assembly language
- gplcver - An interpreted Verilog HDL simulator
- gpsim - A simulator for Microchip (TM) PIC (TM) microcontrollers
- gputils - Development utilities for Microchip (TM) PIC (TM) microcontrollers
- gresistor - Gnome resistor color code calculator
- gsim85 - An 8085 microprocessor simulator
- gtkterm - Serial port terminal
- gtkwave - Waveform Viewer
- hct - A HDL complexity tool
- irsim - Switch-level simulator used even for VLSI
- iverilog - Icarus Verilog is a verilog compiler and simulator
- kicad - Electronic schematic diagrams and printed circuit board artwork
- ktechlab - Development and simulation of microcontrollers and electronic circuits
- linsmith - A Smith charting program
- magic - A very capable VLSI layout tool
- magic-doc - Documentation for magic, A very capable VLSI layout tool
- mcu8051ide - IDE for MCS-51 based microcontrollers
- mot-adms - An electrical compact device models converter
- nesc - Compiler used by TinyOS
- netgen - LVS netlist comparison tool for VLSI
- ngspice - A mixed level/signal circuit simulator
- ovm - Open Verification Methodology : IEEE 1800 SystemVerilog standard
- pcb - An interactive printed circuit board editor
- perl-Hardware-Verilog-Parser - Complete grammar for parsing Verilog code using perl
- perl-Hardware-Vhdl-Lexer - Split VHDL code into lexical tokens
- perl-Hardware-Vhdl-Parser - Complete grammar for parsing VHDL code using perl
- perl-Hardware-Vhdl-Tidy - VHDL code prettifier
- perl-ModelSim-List - Analyse the 'list' output of the ModelSim simulator
- perl-SystemC-Vregs - Utility routines used by vregs
- perl-SystemPerl - SystemPerl Perl module
- perl-Verilog-CodeGen - Verilog code generator
- perl-Verilog-Perl - Verilog parsing routines
- perl-Verilog-Readmem - Parse Verilog $readmemh or $readmemb text file
- pharosc - VLSI and ASIC Technology Standard Cell Libraries
- pharosc-alliance - VLSI and ASIC Standard Cell Libraries for alliance
- pharosc-magic - VLSI and ASIC Standard Cell Libraries for Magic
- pharosc-synopsys - VLSI and ASIC Standard Cell Libraries for Synopsis
- pharosc-xcircuit - VLSI and ASIC Standard Cell Libraries for XCircuit
- picprog - Microchip PIC serial programmer software
- pikdev - IDE for development of PICmicro based application (under Linux/KDE)
- piklab - Development environment for applications based on PIC & dsPIC microcontrollers
- pikloops - Code generator for PIC delays
- qucs - Circuit simulator
- sdcc - Small Device C Compiler
- sk2py - Migrates Cadence Skill based PCells to Python PyCells
- tclspice - Tcl/Tk interface for ngspice
- teal - Verification Utility and Connection Library
- tetex-IEEEtran - Official LaTeX class for IEEE transactions journals and conferences
- tkcvs - TkCVS and TkDiff
- tkgate - An event driven digital circuit simulator
- toped - VLSI IC Layout Editor
- uisp - Universal In-System Programmer for Atmel AVR and 8051
- verilator - A fast simulator for synthesizable Verilog
- vhd2vl - VHDL to Verilog translator
- vrq - Verilog tool framework with plugins for manipulating source code
- wb_builder - Wishbone Bus Builder
- xcircuit - Electronic circuit schematic drawing program